Dampak Penyalahgunaan Teknologi

tulisan 2 " softskill Bahasa Indonesia "

oleh : Nyoman Parwa Dinawan





Dampak Penyalahgunaan Teknologi

    Dengan perkembangan teknologi yang begitu maju, manusia selalu memanfaatkan teknologi itu untuk membantu mereka untuk mempermudah pekerjaan mereka. Padahal itu salah karena pemanfaatan teknologi yang berlebihan sangat merugikan, hal itu terlihat dari banyaknya polusi yang ditimbulkan oleh mesin-mesin itu sendiri.

    Kita sebagai makhluk yang bisa di katakan mendekati sempurna sudah sewajarnya kita menggunakan akal pikiran kita untuk memanfaatkan teknologi dengan bijak. Salah satu contoh penyalahgunaan teknologi adalah seperti pembuatan Bom nuklir, dimana Bom nuklir itu lebih dikenal dengan teknologi pemusnah masal. Semua orang takut akan kekuatan ledak bom itu, karena disamping berdampak buruk bagi manusia juga berdampak buruk bagi lingkungan sekitarnya.

    Selain bom nuklir salah satu contoh kecil penyalahgunaan teknologi adalah banyaknya penebangan hutan yang menggunakan gergaji mesin, mesin itu juga merupakan salah satu perkembangan teknologi saat ini. Apabila mesin itu digunakan secara bijak maka akan sangat bermanfaat bagi kehidupan kita,

    efek terbesar yang timbul dari penyalahgunaan teknologi seperti timbulnya efek rumah kaca,yang membuat bumi atau tempat tinggal kita menjadi panas karena sinar matahari yang masuk ke bumi terhalangi pantulannya sehingga panas yang kita rasakan saat ini sangat berbeda.

    Efek rumah kaca ditimbulkan oleh adanya polusi udara, penyebabnya tidak lain adalah asap kendaraan, asap pembuangan pabrik, serta masih banyak lagi penyebab-penyebab lainnya. Kita sebagai manusia harus mencegah dari dini agar seperti efek rumah kaca ini bisa teratasi, bagaimana jadinya kalau kehidupan di bumi kita ini punah karena ulah kita juga,” kan gak lucu”. Jadi mulailah dari sekarang kita memelihara lingkungan sekitar kita agar kehidupan di bumi ini akan senantiasa menjadi lebih baik.

Read more


Packet Library VHDL

tulisan 3 " Pemrograman Devais FPGA "

oleh : Nyoman Parwa Dinawan

npm : 21108479
 

Dalam bahasa pemrograman vhdl dikenal beberapa paket library yang berfungsi untuk memudahkan prorammer untuk menyelesaikan pekerjaannya karena dalam library tersebut terdapat fungsi-fungsi dan tipe data yang sudah didefinisikan sebelumnya yang dapat digunakan berulang-ulang. Dalam vhdl terdiri beberapa library, diantaranya ieee, std, work dan lain-lain. Di dalam library tersebut terdapat sub-tree yang disebut sebagai paket, seperti :

LIBRARY IEEE :
- math_real
- numeric_bit
- numeric_std
- std_logic_1164
- std_logic_arith
- std_logic_signed
- std_logic_unsigned
- vital_timing

LIBRARY STD :
- standard
- textio

LIBRARY WORK :
semua source code user akan dicompile dan dimasukkan ke dalam library ini

Read more


multiplexer VHDL

tulisan 2 " Pemrograman Devais FPGA "

oleh : Nyoman Parwa Dinawan

npm : 21108479



multiplexer merupakan suatu rangkaian yang memiliki banyak input namun hanya memiliki satu output. adapaun rangkaian dari multiplexer itu sendiri :





pada rangkaian di atas terdapat 4 input, 2 selektor, dan 1 output. dalam tulisan ini rangkaian Multiplexer di atas  akan diimplementasikan ke dalam kode program VHDL.

1. pertama-tama kita beri nama entity dari multiplexer yaitu " multipax "

    entity multipax is     -- mendefinisikan entity "multiplexer"
    port(             
    a,b,c,d,s1,s2: in bit; -- terdapat 4 port input, 2 selector, dan 1 output
    y:out bit);       
    end multipax;

2. lalu definisikan architecture " max_multy " dari entity "multipax"
 
    architecture max_multy of multipax is
    begin
    proc: process is
    begin
    if (s1='0' and s2='0') then y <= a;
    else if (s1='0' and s2='1') then y <= b;
    else if (s1='1' and s2='0') then y <= c;
    else if (s1='1' and s2='1') then y <= d;
    end if;
    end process proc;
    end max_multy;


3. Arsitektur berfungsi untuk mendefinisikan bagaimana entity "multipax"     bekerja. Namun kode diatas belumlah sempurna, sehingga tidak bisa dijalankan untuk simulasi maupun di sintesis karena belum didefinisikan bit input pada masing-masing portnya. Untuk itu diperlukan  penambahan entity "signal" dan arsitektur "signal_arc" yang berfungsi untuk memberikan input pada entity "multipax".

entity signal is
port(
pa,pb,pc,pd,ps1,ps2:out bit);
end signal;

architecture signal_arc of signall is
begin
pro: process is
begin
pa <= '0';
pb <= '1';
pc <='1';
pd <= '0';
ps1 <= '1';
ps2 <= '0';
end process pro;
end signal_arc;
   
4. Semua kode vhdl diatas digabung menjadi satu menjadi :

library ieee;
use ieee.std_logic_1164.all;

entity multipax is     -- mendefinisikan entity "kepletex"
port(             
a,b,c,d,s1,s2: in bit; -- terdapat 4 port input, 2 selector, dan 1 output
y:out bit);
end multipax;

architecture max_multy of multipax is
begin
proc: process is
begin
if (s1='0' and s2='0') then y <= a;
else if (s1='0' and s2='1') then y <= b;
else if (s1='1' and s2='0') then y <= c;
else if (s1='1' and s2='1') then y <= d;
end if;
end process proc;
end max_multy;

entity signal is
port(
pa,pb,pc,pd,ps1,ps2:out bit);
end signal;

architecture signal_arc of signal is
begin
pro: process is
begin
pa <= '0';
pb <= '1';
pc <='1';
pd <= '0';
ps1 <= '1';
ps2 <= '0';
end process pro;
end signal_arc;

-- kode dibawah ini merupakan kode yang berfungsi menjalankan entity yang telah didefinisikan diatas

library work;
use work.all;

entity eksekusi is
end eksekusi;

architecture eksekusi_arch of eksekusi is
signal in1,in2,in3,in4,select1,select2,output: bit;
begin
w1: entity signal port map(in1,in2,in3,in4,select1,select2);
w2: entity multipax port map(in1,in2,in3,in4,select1,select2,output);
end eksekusi_arch;

Read more


tentang FPGA ( Field Programmable Gate Array )

tulisan " Pemrograman Devais FPGA "

oleh : Nyoman Parwa Dinawan

npm : 21108479


FPGA (Field Programmable Gate Array)


    sering kita dengar mengenai istilah FPGA itu sendiri, FPGA  adalah suatu digital IC yang sangat populer di kalangangan komunitas robot. Namun ada banyak orang yang belum mengetahui definisi dari FPGA itu sendiri, FPGA ( Field Programmable Gate Array ) merupakan suatu digital IC yang terdiri dari beberapa block- block logic yang dapat diprogram. FPGA mempunyai 2 (dua) implementasi yaitu:

1.OTP ( One Time Programmable ) merupakan salah satu bentuk dari implementasi FPGA yang hanya dapat diimplementasikan / hanya sekali bisa diprogram.
2.ISP ( In – System Programmable ) merupakan  salah  satu bentuk dari implementasi FPGA yang dapat terintegrasi


    FPGA telah ada sejak tahun 1984, dan Xilinx merupakan perusahaan terbesar pembuat FPGA. 
Adapun keunggulan dari FPGA  itu sendiri adalah

harga yang relatif murah
implementasi lebih cepat dan mudah, serta
mengambil keuntungan dari 2 teknologi IC yaitu PLD dan ASIC

ASIC ( Aplocation Specific Intergrated Circuit ) yang terdiri dari banyak gerbang logika  atau memiliki complex function.

PLD ( Programmable Logic Device ) merupakan circuit terpadu yang dapat di program menjadi lebih kompleks.

Adapun 3 tipe utama kombinasional PLD  yang dibedakan dari penempatan programmable connection pada susunan AND - OR yaitu :
1.PROM ( Programmable Read Only Memory ) yaitu penjualannya yang relatif murah.
2.PLA    ( Programmable Logic Array ) yaitu menawarkan fitur fleksibel dan lambat, harganya juga relatif murah.
3.PAL    ( Programmable Array Logic ) yaitu menawarkan fitur fleksibel dan lebih cepat, harganya lebih mahal.

Read more


kata baku dan tidak baku

Tugas 1 softskill "Bahasa Indonesia"
oleh : nyoman parwa dinawan



No    Kata Baku    Kata Tidak Baku

1.       aktif                aktip, aktive
2        Alquran          Al-Quran,  Al-Qur'an, Al Qur'an
3        amfibi             amphibi
4        analisis           analisa
5        apotek            apotik
6        asas                azas
7        asasi               azasi
8        atlet                atlit
9        atmosfer        Atmosfir, atmosphere
10      azan               adzan
11      cabai              cabe, cabay
12      daftar             daptar
13      dekret             dekrit
14      detail              detil
15      doa                 do’a
16      efektif             efektip, efektive, epektip, epektif
17      efektivitas       efektifitas
18      eksem             eksim, exim
19      ekstrem          ekstrim, extrim
20      elite                elit
21      e-mail             email, imel
22      faksimile        faksimili, faksimil
23      Februari        Pebruari, February
24      foto                photo
25      fotokopi         foto copy, photo copy, photo kopi
26      hakikat          hakekat
27      hipotesis        hipotesa
28      ijazah             ijasah, izajah
29      izin                 ijin
30      jadwal            jadual
31      Jumat             Jum’at
32      karena           karna
33      karisma         kharisma
34      karismatik     kharismatik
35      kategori         katagori
36      khotbah          khutbah
37      komplet          komplit, kumplit
38      konkret          kongkret, kongkrit, konkrit
39      kreatif            kreatip, kreative
40      kreativitas      kreatifitas
41      kredit             kridit
42      kualitas          kwalitas, kwalitet
43      kuantitas        kwantitas
44      kuitansi          kwitansi
45      kuota              kwota
46      laknat             la’nat
47      lembap           lembab
48      lubang            lobang
49      maaf               ma’af
50      makhluk         mahluk
51      masyhur         mashur
52      metode           metoda
53      misi                missi
54      muazin           muadzin
55      mukjizat         mu’jizat
56      mulia             mulya
57      mungkir         pungkir
58      museum         musium
59      napas             nafas
60      nasihat           nasehat
61      negeri            negri
62      nikmat           ni’mat
63      november      nopember
64      objek             obyek
65      pasif              pasip, pasive, fasip
66      peduli            perduli
67      penasihat      penasehat
68      petai              pete, petay
69      praktik          praktek
70      proklamasi    proklamir
71      provinsi         propinsi, profinsi
72      proyek           projek, project
73      rakaat            raka’at
74      rezim              rejim
75      risiko              resiko
76      rizki                rezeki, rejeki, riski, rizqi
77      rubuh              roboh
78      sekadar          sekedar
79      sintesis           sintesa
80      sistem             sistim, system
81      stroberi          strawberi, strawbery
82      subjektif         subyektif
83      subjek            subyek
84      surga             syurga, sorga
85      saraf              syaraf, sarap
86      takwa            taqwa
87      taoge             tauge, toge
88      teknik            tehnik, tekhnik
89      teknologi       tekhnologi, tehnologi
90      teladan          tauladan
91      telanjur          terlanjur
92      telantar          terlantar
93      telepon           telpon, telfon, telefon, telephone
94      telur               telor
95      terampil         trampil
96      tobat              taubat   
97      ubah              rubah, robah
98      ustaz              ustadz, ustad
99      ustazah          ustadzah
100    zaman            jaman

Read more


Tulisan 1 softskill " Bahasa Indonesia "

tulisan 1 softskill " Bahasa Indonesia "
oleh : nyoman parwa dinawan



Bahasa Indonesia Sangat Berguna Di Bangku kuliah

          Pelajaran Bahasa Indonesia adalah pelajaran yang sangat penting bagi kita, biasanya Bahasa Indonesia diajarkan di bangku Sekolah Dasar, SMP, maupun SMA. Namun sekarang pelajaran Bahasa Indonesia telah menjadi mata pelajaran yang ada di bangku kuliah, itulah yang menjadi pertanyaan kenapa pelajaran bahasa Indonesia masih ada di bangku kuliah?,  banyak yang menganggap pelajaran bahasa Indonesia itu pelajaran yang biasa, tapi anggapan mereka salah karena pelajaran ini adalah pelajaran yang sangat mendidik kita untuk menjadi orang yang berguna, terutama dalam hal bertutur kata, sopan-santun, dan cara menggunakan bahasa yang baik dan benar.

           Belajar bahasa Indonesia di bangku kuliah sangatlah penting, karena disamping lebih bisa memahami bahasa Indonesia itu sendiri, kita juga akan mampu nantinya bersaing di dunia kerja serta kita juga bangga telah bisa menjunjung tinggi Bahasa persatuan ini.

            Dalam belajar Bahasa Indonesia diajarkan berbagai tata cara penulisan, pemahaman, penalaran mengenai seluk beluk bahasa indonesia. bukan hanya itu saja, kita juga diajarkan berbagai hal tentang kehidupan sehari-hari, seperti sopan-santun bila kita bertemu dengan orang yang lebih tua dari kita, cara berbicara agar lawan bicara kita tidak tersinggung, cara penulisan yang benar seperti penulisan surat, surat undangan dan lain-lain. Sering kita lihat banyak dari anak yang sudah menginjak bangku kuliah tidak sopan bicara dengan orang yang lebih tua dari mereka. Mereka lebih menganggap orang yang lebih tua itu teman mereka sendiri, padahal anggapan mereka itu sangatlah salah dan sangat tidak mencerminkan bahwa dia seorang  mahasiswa.

              Salah satu yang mendorong pemerintah memberikan mata kuliah bahasa Indonesia adalah seperti yang telah terpapar di atas. Pemerintah hanyalah ingin menjadikan generasi sekarang menjadi generasi penerus yang kompeten, sopan dalam santun, berbudi yang luhur, dan dapat bersaing dalam segala bidang.

Read more

About This Blog

GUNADARMA

Cari Blog Ini

About this blog

Pengikut

Tags

  • 1 (1)

Pages

Web hosting for webmasters